密码锁类大学毕业论文范文,与电子技术文献综述相关电子商务解决方案论文

时间:2020-07-04 作者:admin
后台-系统-系统设置-扩展变量-(内容页告位1-手机版)

关于密码锁及语言及数字方面的免费优秀学术论文范文,密码锁类电子商务解决方案论文,关于电子技术文献综述相关论文范文检索,对写作密码锁论文范文课题研究的大学硕士、本科毕业论文开题报告范文和文献综述及职称论文参考文献资料下载有帮助。

摘 要 :本文讲述了一种基于VHDL设计密码锁的原理和方法,这种密码锁具有安全性高,成本低,操作简单等特点.以下是几位专家用VHDL语言解决和设计的密码锁的方案以及实例描述.

关 键 词 :VHDL 密码锁

前言:随着社会的发展和人们生活水平的提高,人们的安全意识也逐渐加强.密码锁以安全性高,成本低等优点受到越来越多人的欢迎.VHDL语言覆盖面广,描述能力强,所以采用VHDL语言所设计的密码锁更是受到绝大多数的人的接受和欢迎.

正文:

1.vhdl

1.1 vhdl语言的概念及特点

VHDL的英文全名是Very-High-Speed Integrated Circuit HardwareDescription Language,诞生于1982年.1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言 .自IEEE公布了VHDL的标准版本,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口.此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言.在电子工程领域,已成为事实上的通用硬件描述语言.有专家认为,在新的世纪中,VHDL语言将承担起大部分的数字系统设计任务.除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言.VHDL的程序结构特点是将一项工程设计,或称设计实体,分成外部和内部,既涉及实体的内部功能和算法完成部分.在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体.这种将设计实体分成内外部分的概念是VHDL系统设计的基本点.

1.2 vhdl语言出现的背景

一种硬件描述语言广义地说是描述电子实体的语言,比如说逻辑图,电路图等.但是由于一些大规模电路的出现,逻辑图,布尔方程已经不太适用,因此需要在更高层次上描述系统.期间也出现过多种HDL语言,但都没有得到大家的人认可,但是也为vhdl语言的出现奠定了一定的理论及试验基础.再后来就出现了vhdl语言.Vhdl语言主要针对的是电路设计等方面.密码锁在vhdl中的实现实际上就是vhdl语言在数字电路中的一种应用.

2.以下是几位专家对基于vhdl语言的密码锁设计提出一些看法:

(1). 山东理工大学张雪实验师针对基于vhdl语言的设计提出了数字密码锁的设计的思想,她的观点是这样的:

她就普通的密码锁做了一些总结,提出了一些普通密码锁所存在的缺点,比如说安全性不够高,无法进行密码核对等.因此基于这些普通的密码锁所存在的问题,她提出了数字密码锁的概念,数字密码锁是在实际应用中使用得极其广泛的一种数字电路,他的主要功能时用来对某些重要物品进行加密保护,目的是避免无权人员使用某些设施或进行越权操作.她在文章中介绍的这款密码锁主要完成上锁,密码输入,密码核对,开启电锁,密码修改等功能.详细说明了该数字密码锁的内部结构及其主要功能,讲述了数字密码锁的模块划分极其工作流程.在该数字电路设计中,采用了自顶向下的设计方法,主要目的是对数字系统进行模块划分,这样可以将设计简化成相对简单的模块设计,不同的模块完成数字系统中某一部分的具体功能.最后还对整个密码锁的实现过程做了整体的仿真效果,仿真反馈的结果可以验证程序设计的可行性与可靠性.该数字密码锁的设计过程表明,用vhdl语言可以快速,灵活的设计出符合各种要求的数字密码锁,而且操作简单,稍加修改就可以改变密码的位数,增强其安全性,且很容易做成ASIC芯片,使设计过程达到高度自动化.

(2).湖南大学胡红艳工程师针对基于VHDL语言的电子设计又提出了关于智能密码锁设计的观点,她提出的设计理念如下:

随着社会物质财富的日益增长,安全防盗已成为全社会的问题,弹子锁由于结构上的局限已难以满足当前社会管理和防盗要求,电子密码由于其自身的优势,越来越受到人们的青睐,但是目前使用的电子密码锁大部分是基于单片机用分离元件实现的,其成本较高且可靠性不能得以保证.基于此她介绍了一种利用EDA技术和VHDL语言,在MAX+PLUS2环境下设计的一种新型的智能密码锁,首先针对智能密码锁的设计提出了一些要求,即该智能密码锁的密码初始化,密码设定,密码修改以及输入密码的次数进行了严格的规定.然后又介绍了该智能密码锁的总体结构以及结构中所用控制模块的简单工作原理,最后还对该智能密码锁进行了误差分析.设计的这种新型的智能密码锁具有密码预置和误码报警等功能,用一片FPGA芯片实现,从而大大简化了系统结构,降低了成本 提高了系统的保密性和可靠性.这款智能密码锁具有体积小,功耗低,价格便宜,安全可靠,维护何升级度十分方便等优点,因此提出它具有较好的应用前景.

(3).西南交通大学的专家曹建国针对基于VHDL语言的电路设计提出了电子密码锁的设计与实现,他的见解如下:

针对以前的数字密码锁和智能密码锁中锁存在的以下问题,他提出了一些新的观点,比如说密码的输入一般采用机械式何触摸式两种键盘,机械式键盘易产生抖动问题,抖动会造成帧丢失或出错,这些都会降低图像或声音的质量.因此他又提出了可以在系统中加入抖动消除电路的思想.他介绍的是一种基于VHDL设计一种数字电子密码锁的原理何方法,该电子密码锁所用的开发工具为ISE,还用了仿真工具ModelismSE.首先对该电子密码锁的功能进行了描述,主要达到以下的五个功能:数码输入,数码清除,密码激活,密码修改,电锁解除.接着提出了他的设计思路极其原理,对密码如何输入,输出及修改的过程都做了详细的介绍并且还做了图形分析.他提出了以 DSP/BIOS操作系统为软件架构,以 DM642为核心构成了单芯片的多媒体嵌入式硬件平台,采用了最新的 H.264视频压缩算法和基于RTP/RTCP协议的流媒体实时传输技术,对网络带

宽的变化具有较强的自适应能力.设计该电子密码锁的过程中所开发的这个系统实现了整个系统的高度集成,开发成本低,并在满足实时传输的同时还提供了很高的图像显示质量,具有良好的发展前景.

(4)徐州建筑职业技术学院教师刘燎原通过实例来说明利用VHDL 语言实现数字系统的过程.以下是她用VHDL语言实现了汉明码的编码和译码的原理以及部分汉明码的编码源程序:

汉明码是在原编码的基础上附加一部分代码,使其满足纠错码的条件.它属于线性分组码,由于汉明码的抗干扰能力较强 ,至今仍是应用比较广泛的一类码.汉明码可以用数字门电路或移位寄存器等硬件电路来实现 ,但硬件电路搭接电路较复杂且易存在干扰.因此她介绍了采用 VHDL 语言来实现汉明码的编码和译码过程.

下面是她给出的汉明码的编码及译码源程序:

编码源程序:

LIBRARYieee,

USE ieee. std_logic_1164. ALL ,

ENTITY hamenc IS

PORT (datain : IN BIT_VECTOR 0 TO 3) ,

hamout : OUT BIT_VECTOR (0 TO 7)) ,

END hamenc,

ARCHITECTURE ver2 OF hamenc IS

SIGNAL p0 , p1 , p2 , p4 : BIT,

BEGIN

p0〈等于 (datain( 0) XOR datain( 1) )XOR datain( 2),

p1〈等于 (datain( 0 )XOR datain( 1 ) )XOR datain (3),

p2〈等于 (datain (0) XOR datain( 2)) XOR datain (3 ),

p4〈等于 ( datain( 1) XOR datain (2)) XOR datain (3) ,

hamout (4 TO 7)〈等于 (p0 , p1 , p2 , p4) ,

hamout( 0 TO 3)〈等于 datain( 0 TO 3),

END ver2,

译码源程序:

LIBRARYieee,

USE ieee. std_logic_1164.ALL ,

ENTITY hamdec IS

PORT( hamin : IN BIT_VECTOR( 0 TO 7 ), &mdash,&mdash,&mdash,d0 d1 d2 d3p0 p1 p2 p4

dataout : OUT BIT_VECTOR (0 TO 3 ), &mdash,&mdash,&mdash,d0 d1 d2 d3

sec , ded , ne : OUT BIT) , &mdash,&mdash,&mdash,diagnostic outputs

END hamdec,

ARCHITECTURE ver1 OF hamdec IS

BEGIN

PROCESS( hamin)

VARIABLE syndrome : BIT_VECTOR (3 DOWNTO 0) ,

BEGIN

syndrome (0) : 等于((((((( hamin( 0) XOR hamin( 1)) XOR hamin

(2)) XOR hamin (3)) XOR hamin( 4)) XOR hamin (5)) XOR hamin( 6 )) XOR hamin (7)) ,

syndrome (1) : 等于 (((hamin(0) XOR hamin (1) XOR hamin (3)XOR hamin( 5)) ,

syndrome (2) : 等于 (((hamin (0) XOR hamin(2 )XOR hamin (3)XOR hamin (6)) ,

syndrome (3) : 等于((( hamin (1) XOR hamin (2) XOR hamin (3)XOR hamin (7)) ,

IF (syndrome 等于0000&rdquo,) THEN

ne〈等于&lsquo,1&rsquo,,

ded〈等于&lsquo,0&rsquo,,

sec〈等于&lsquo,0&rsquo,,

Dataout( 0 TO 3) 〈等于 hamin( 0 TO 3) ,

ELSIF (syndrome (0) 等于&lsquo,1&rsquo,) THEN

ne〈等于&lsquo,0&rsquo,,

ded〈等于&lsquo,0&rsquo,,

sec〈等于&lsquo,1&rsquo,,

CASE syndrome( 3 DOWNTO 1) IS

WHEN000&rdquo,|001&rdquo,|010&rdquo,|100&rdquo,等于〉

Dataout( 0 TO 3 )〈等于 hamin( 0 TO 3 ), -

WHEN011&rdquo,等于〉dataout( 0)〈等于 NOT hamin (0 ),

Dataout(1 TO 3) 〈等于 hamin (1 TO 3) ,

WHEN101&rdquo,等于〉dataout (1) 〈等于 NOT hamin( 1) ,

Dataout( 0) 〈等于 hamin (0) ,

dataout (2 TO 3) 〈等于 hamin( 2 TO 3) ,

WHEN110&rdquo,等于〉dataout( 2) 〈等于 NOT hamin (2) ,

Dataout( 3 )〈等于 hamin( 3) ,

Dataout( 0 TO 1) 〈等于 hamin( 0 TO 1) ,

WHEN111&rdquo,等于〉dataout (3) 〈等于 NOT hamin( 3) ,

Dataout( 0 TO 2) 〈等于 hamin (0 TO 2) ,

END CASE,

ELSIF (syndrome( 0 )等于 &lsquo,0&rsquo, AND (syndrome( 3DOWNTO 1) / 等于000&rdquo, )THEN

ne〈等于&lsquo,0&rsquo,,

ded〈等于&lsquo,1&rsquo,,

sec〈等于&lsquo,0&rsquo,,

dataout (0 TO 3 )〈等于0000&rdquo,,

电子技术文献综述参考属性评定
有关论文范文主题研究: 密码锁相关论文范文 大学生适用: 硕士学位论文、学校学生论文
相关参考文献下载数量: 100 写作解决问题: 怎么撰写
毕业论文开题报告: 论文提纲、论文选题 职称论文适用: 杂志投稿、中级职称
所属大学生专业类别: 怎么撰写 论文题目推荐度: 优质选题

END IF,

END PROCESS,

END ver1,

该程序使用 Quartus Ⅱ软件进行逻辑综合功能模拟与定时分析.通过时序仿真和下载后 ,观察波形并进行实际验证 ,可以正确编码译码 ,符合设计要求.本文介绍的基于 VHDL 语言的汉明码的编码和译码实现 ,简化了硬件的开发和制作过程 ,易于仿真测试和修改 ,加强了系统的灵活性和抗干扰性 ,在实际中有一定的应用.

结束语:

从上面所举的各位专家所提出的各种观点可以看出,基于VHDL 语言所设计出来的多款密码锁, 均使用串行电路,这样用一片FPGA芯片就可以实现实现, 从而大大简化了系统结构.提高了系统的保密性和可靠性.VHDL语言在数字电路设计中 ,自顶向下的设计方法的主要思想是对数字系统进行模块划分 ,这样可以将复杂的设计简化成相对简单的模块设计 ,不同的模块用来完成数字系统中某一部分的具体功能统, 其升级与改进极其方便.用VHDL语言设计出来的密码锁具有结构较简单,

关于电子技术文献综述的大学毕业论文范文
密码锁类论文范文检索
成本较低,操作方便,易于维护和修改等优点.缺点是虽然它的成本较低,但是由于使用了电子芯片,因此现在还是只能在大中型企业中使用,还不能普及到我们的生活当中来.


怎么写密码锁毕业论文
播放:37417次 评论:7782人

参考文献:

[1] 刘钰,张有志.一种用VHDL语言设计的数字密码锁 [J].信息技术与信息化 ,2004,(04)

[2] 胡红艳,李旭华.基于VHDL语言的智能密码锁设计 [J].微计算机信息 ,2006,(14) .

[3] 曹建国,王威,王丹.一种基于VHDL的电子密码锁的设计与实现 [J].安防科技 ,2007,(02) .

[4] 周瑗,杨丽华.用VHDL自顶向下设计数字密码锁 [J].北京化工大学学报 ,2000,(02) .

[5] 尤国平,陈新,林伟,黄世震.基于VHDL语言的串行密码锁设计 [J].国外电子测量技术 ,2005,(09) .

[6] 谢海良,孔云龙.基于EDA技术的电子密码锁电路设计 [J].漯河职业技术学院学报 ,2007,(04)


本文转载于:http://www.sxsky.net/shangwu/444135.html

[7] 许琦.基于FPGA的电子密码锁的设计 [J].科技信息(学术版) ,2006,(10)

密码锁类大学毕业论文范文,与电子技术文献综述相关电子商务解决方案论文参考文献:

电子商务论文文献综述
述的论文阅读与技术","电子信息工程""教育技术学",班级名称:05瓯教技本,05瓯电科本一,05瓯电科本二,05瓯电信本一,05瓯电信本二;,3,文献综述的写作要求已放在09届本。

化工论文文献综述
化工论文文献综述2016年法,4.1.1掌握文献检索,资料查询的基本方法,4.1.2能正确使用网络技术,具备收集,分析,判断,选择国内外相关技术信息的能力,4.1.3具有一定的文。

工科论文文献综述
述,撰写质量差(%)论文有独到的见。工科论文文献综述山东明毕业论文(设计)选题的来源,目的,意义,应达到的技术要求.,(2)从本课题国内外研究现状,所存在的问题,参考依据等方面完成文。

计算机论文文献综述
机科学与技术班级:计112,毕业设计(论文)题目:顾客管理系统,姓名虞赵根指导教师沈洋计划完成时间:2016年4。反倾销论文文献综述阅读杭州电子科技大学,本科毕业设计(论文)文献综。

论文文献综述模板
个工作。反倾销论文文献综述阅读杭州电子科技大学,本科毕业设计(论文)文献综述的写作要求,?,一,文献综述的概念,文献综述是针对某一研究领域或专题搜集大量文献资料的基础上,就国内外在。

论文文献综述例文
述国语四川理工学院毕业论文,磷酸酯型抗静电剂的合成,文献综述,学生:***,学号:060310381**,专业:应用化学,班级:精细化工2006.。反倾销论文文献综述阅读杭州电子科。

古筝论文文献综述
古筝论文文献综述招聘 2.报考作曲,作曲技术理论研究方向的考生需交本人作品或论文两件.,3.报考音乐教育系各研究方向的考生需交本人论文1-2篇(要求打印).音乐文献翻译方向,须提。

论文文献综述范例
:精细化工2006.。反倾销论文文献综述阅读杭州电子科技大学,本科毕业设计(论文)文献综述的写作要求,?,一,文献综述的概念,文献综述是针对某一研究领域或专题搜集大量文献资料的基础。

护理论文文献综述
及知情同意:当论文的主体是以人为研究对象时,作者应说明其遵循的程序是。反倾销论文文献综述阅读杭州电子科技大学,本科毕业设计(论文)文献综述的写作要求,?,一,文献综述的概念,文献综。

有关文献综述的论文
文文献综述阅读杭州电子科技大学,本科毕业设计(论文)文献综述的写作要求,?,一,文献综述的概念,文献综述是针对某一研究领域或专题搜集大量文献资料的基础上,就国内外在该领域或专题的主。

后台-系统-系统设置-扩展变量-(内容页告位2-手机版)
声明:本文内容由互联网用户自发贡献自行上传,本网站不拥有所有权,未作人工编辑处理,也不承担相关法律责任。如果您发现有涉嫌版权的内容,欢迎发送邮件至:123456789@qq.com 进行举报,并提供相关证据,工作人员会在5个工作日内联系你,一经查实,本站将立刻删除涉嫌侵权内容。
后台-系统-系统设置-扩展变量-(内容页告位3-手机版)